Macaulay2 » Documentation
Packages » PHCpack :: realSlice1D(...,searchTolerance=>...)
next | previous | forward | backward | up | index | toc

realSlice1D(...,searchTolerance=>...) -- option of realSlice1D

Synopsis

Further information

Functions with optional argument named searchTolerance :